Editor Version ×
Standard

1.Easy to use and quick to get started

2.The process supports design scales of 300 devices or 1000 pads

3.Supports simple circuit simulation

4.For students, teachers, creators

Profession

1.Brand new interactions and interfaces

2.Smooth support for design sizes of over 5,000 devices or 10,000 pads

3.More rigorous design constraints, more standardized processes

4.For enterprises, more professional users

Ongoing

STD Irrigation Timer

License: CC-BY-SA 3.0

Mode: Editors' pick

  • 474
  • 0
  • 0
Update time: 2022-09-11 01:16:03
Creation time: 2019-06-01 22:40:17
Description
A sprinkler timer that can be configured via web interface
Design Drawing
schematic diagram
1 /
PCB
1 /
The preview image was not generated, please save it again in the editor.
ID Name Designator Footprint Quantity
1 10uF C1,C2 0805 2
2 1N4001F D1,D2,D3,D4,D5 SMAF_2PIN-L4.9-W2.7-H1.1-PITCH3.7-L 5
3 FIDUCIAL FID1,FID2,FID3 FIDUCIAL_1MM 3
4 Red LED1,LED2,LED3,LED4,LED5 LED-3MM/2.54 5
5 WJ2EDGVC-5.08-06P P1 WJ2EDGVC-5.08-6P 1
6 Digital Sensor P2 HDR-3X1/2.54 1
7 Analog Sensor P3 HDR-3X1/2.54 1
8 Touch P4,P5 HDR-2X1/2.54 2
9 WJ2EDGVC-5.08-2P P6 WJ2EDGVC-5.08-2P 1
10 IRLML2502TRPBF Q1,Q2,Q3,Q4,Q5 SOT-23-3 5
11 1K R1,R2,R3,R4,R5 0805 5
12 1M R6,R7 1206 2
13 220K R8,R9,R10,R11,R12 0805 5
14 NCP1117ST50T3G U1 SOT-223 1
15 WEMOS D1 U2 WEMOS_D1_MINI_BOARD 1
16 3mm_Hole X1,X2,X3,X4 MOUNTINGHOLE-M3 4

Unfold

Project Attachments
Empty
Project Members
Related Projects
Change a batch
Loading...
Add to album ×

Loading...

reminder ×

Do you need to add this project to the album?

服务时间

周一至周五 9:00~18:00
  • 0755 - 2382 4495
  • 153 6159 2675

服务时间

周一至周五 9:00~18:00
  • 立创EDA微信号

    easyeda

  • QQ交流群

    664186054

  • 立创EDA公众号

    lceda-cn